verilog读取文件的预处理问题

来源:互联网 发布:百货批发软件哪个好 编辑:程序博客网 时间:2024/06/12 01:11

verilog modelsim仿真中,使用fscanf仿真,读取数据文件,方式如下:


fid_r = $fopen("_bench/real_input.txt","r");

fid_i = $fopen("_bench/imag_input.txt","r");

for (ii = 0;ii < 1024; ii = ii + 1) begin
        $fscanf(fid_r,"%d",real_i);
        $fscanf(fid_i,"%d",imag_i);
        @(posedge clk_i);
end

$fclose(fid_r);

$fclose(fid_i);



其中:

读取文件,路径分隔符,必须使用/ ”或者“ \\ ”,使用“ \ ”是错误的,无法读取数据,与windows系统下的路径是相反的。


同时,注意数据文件的路径,tb_magnitude.fdo,为当前路径,其他路径查看相对关系,在文件路径体现出来。

0 0