FPGA-VHDL-Verilog

来源:互联网 发布:100部网络删除小说rar 编辑:程序博客网 时间:2024/06/10 05:16

FPGA实现以下算法

1、模拟前端处理,控制AD采集,模拟信号,得到中频信号 !

2、数字前端处理:信号从中频信号到基带信号算法实现,

     FPGA处理模块包括:AD采样、变频、抽取、滤波等部分。

 《详细待续》