实验一与门

来源:互联网 发布:javascript hmacsha1 编辑:程序博客网 时间:2024/06/11 01:27
library ieee;  use ieee.std_logic_1164.all;    entity wss1 is    port     (       a,b: in std_logic;        y:  out std_logic    );    end entity;    architecture rtl of  wss1 is    begin         y   <=a   and   b;    end rtl;  library ieee;use ieee.std_logic_1164.all;entity wss1 isport   (     a,b: in std_logic;      y:  out std_logic  );end entity;architecture rtl of  wss1 isbegin     y   <=a   and   b;end rtl;